DESIGN AUTOMATION OF EASY-TESTED DIGITAL FINITE STATE MACHINES

Authors

  • M. A. Mіrosсhnyk Ukrainian State University of Railway Transport, Kharkiv, Ukraine, Ukraine
  • Y. V. Pakhomov Kharkiv National University of Urban Economy, Kharkiv, Ukraine, Ukraine
  • A. S. Shkil Kharkiv National University of Radioelectronics, Kharkiv, Ukraine, Ukraine
  • E. N. Kulak Kharkiv National University of Radioelectronics, Kharkiv, Ukraine, Ukraine
  • D. Y. Kucherenko Kharkiv National University of Radioelectronics, Kharkiv, Ukraine, Ukraine

DOI:

https://doi.org/10.15588/1607-3274-2018-2-13

Keywords:

control finite state machine, state table, shift register, scanned path, hardware description language, CAD, Active-HDL, XILINX ISE.

Abstract

Context. The relevance of the work is to provide minimal additional hardware costs during design automation of easy-tested digital
devices, which are represented by models of control finite state machines on hardware description languages.
Objective. To develop procedures of models’ constructing of easy-tested control finite state machines on hardware description
languages and estimate hardware costs for different methods of hardware redundancy introduction to HDL-models of finite state machines.
Method. The introduction to HDL-models of control finite state machines, which are presented in the form of the FSM template,
hardware redundancy (additional fragments of the HDL-code), providing the forcing setting of finite state machine into an arbitrary state
without the use of synchronizing sequences. For implementation of this approach, the method of FSM’s state table extending is applied,
which ensures the mode of bypassing of all nodes of FSM’ state diagram in the diagnostic mode.
Results. Simulation of extended VHDL-models of the control FSM using Active-HDL confirmed the operability of this approach.
Synthesis of these models using CAD XILINX ISE confirmed the receipt of testable structures and showed the minimum hardware costs for
the method associated with the extension of the state table, in comparison with the organization of the shift register in the Scan Path mode.
Conclusions. The task of computer-aided design of testable control finite state machine on the basis of application of FSM’ setting
methods into given state is solved in the work. The optimal way of the setting organization into an arbitrary state of the control FSM is to
expand the state table, which improves the controllability of FSM’ states and leads to the structure’ transformation of their HDL-models
into easy-tested ones.
The scientific novelty of the work is the transformation of control FSM’ models on hardware description languages, which is realized
by introduction of the additional symbol to the state table, providing the settings of the FSM into an arbitrary state without the use of
synchronizing sequences.
The practical significance of obtained results is to confirm the optimality, in terms of additional hardware costs, of the setting method
of the control FSM into an arbitrary state by introducing the additional symbol into the state table.

References

Bennetts R. G. Proektirovanie testoprigodnyh logicheskih shem: per. s angl. Мoscow, Radio i svjaz’, 1990, 176 p.

Parhomenko P. P., Sogomonjan E. S.; pod red. Parhomenko P. P. Osnovy tehnicheskoj diagnostiki (Optimizacija algoritmov diagnostirovanija, apparaturnye sredstva). Moscow, Jenergija, 1981, 320 p.

Gorjashko A. P. Proektirovanie legko testiruemyh diskretnyh

ustrojstv: idei, metody, realizacija, Avtomatika i telemehanika,

, No. 7, pp. 5–35.

Stroud C. E. A designer’s guide to built-in self-test. Kluwer Academic Publishing, 2002, 319 p.

Gorodetsky A. Introduction to JTAG and DFT technology. Testing in edge scanning technologies and testable design. Palmarium Academic Publishing, Germany, 2012, 308 p.

Mosin S. Methodology to Design-For-Test Automation for Mixed Signal Integrated Circuit, Proceedings of the International Symposium. EWDTS’2013, September 27–30, Rostov on Don. Russia, 2013, pp.178–183.

Tocenko V. G. Algoritmy tehnicheskogo diagnostirovanija cifrovyh ustrojstv. Moscow, Radio i svjaz’, 1985, 240 p.

Bogomolov A. M., Grunskij I. S., Speranskij D. V. Kontrol’ i

preobrazovanija diskretnyh avtomatov. Kiev, Naukova dumka,

, 175 p.

Solov’ev V. V. Minimization of mealy finite-state machines by using the values of the output variables for state assignment, Journal of Computer and Systems Sciences International, 2017,

January, Volume 56, Issue 1, pp. 96–104.

Solov’ev V. V., Grzes T. N. Minimization of Power Consumption of Finite State Machines by Splitting Their Internal States, Journal of Computer and Systems Sciences International, 2015, Vol. 54, No. 3, pp. 367–374.

Miroshnik M. A. Proektirovanie diagnosticheskoj infrastruktury vychislitel’nyh sistem i ustrojstv na PLIS: monografija. Xar’kov, HUPS, 2012, 188 p.

Shkil’ A. S., Fastovec G. P., Serokurova A. S. Avtomatizacija poiska oshibok proektirovanija v HDL-modeljah konechnyh avtomatov, ASU i pribory avtomatiki, 2014, Vol. 168, pp. 43–52.

Miroshnyk М. А., Kucherenko D. E., Paxomov Yu. V., German E’. E., Shkil’ A. S., Kulak E’. N. Design automation of testable finite state machines, 15th IEEE EAST-WEST DESIGN & TEST SYMPOSIUM (EWDTS-2017). Xar’kovskij nacional’nyj

universitet radioe’lektroniki, 2017, pp. 203–208.

Berezhnaja M. A. Sinhronizirujushhie posledovatel’nosti v

konechnyh determinirovannyh avtomatah, Vestnik NTU “HPI”,

, No. 57, pp. 7–15.

Miroshnik (Berezhnaja) M. A., Pahomov Ju. V., Grebenjuk A. S., Filippenko I. V. Metody sinteza legkotestiruemyh cifrovyh avtomatov, Іnformacіjno-kerujuchі sistemi na zalіznichnomu transportі, 2016, No. 5, pp. 28–39.

How to Cite

Mіrosсhnyk M. A., Pakhomov, Y. V., Shkil, A. S., Kulak, E. N., & Kucherenko, D. Y. (2018). DESIGN AUTOMATION OF EASY-TESTED DIGITAL FINITE STATE MACHINES. Radio Electronics, Computer Science, Control, (2). https://doi.org/10.15588/1607-3274-2018-2-13

Issue

Section

Progressive information technologies