VECTOR-DRIVEN LOGIC AND STRUCTURE FOR TESTING AND DEDUCTIVE FAULT SIMULATION

Authors

  • A. Hahanova Kharkov National University of Radio Electronics, Ukraine., Ukraine
  • V. Hahanov Kharkov National University of Radio Electronics, Ukraine., Ukraine
  • S. Chumachenko Kharkov National University of Radio Electronics, Ukraine., Ukraine
  • E. Litvinova Kharkov National University of Radio Electronics, Ukraine., Ukraine
  • D. Rakhlis Kharkov National University of Radio Electronics, Ukraine., Ukraine

DOI:

https://doi.org/10.15588/1607-3274-2021-3-7

Keywords:

RTL logic, test synthesis, technical diagnostics, deductive fault simulation, X-function, similarity–difference metric, deductive function, metric test equation.

Abstract

Context. It is known that data structures are decisive for the creation of efficient parallel algorithms and high-performance computing devices. Therefore, the development of mathematically perfect and technologically simple data structures takes about 80 percent of the design time, when about 20 percent of time and material resources are spent on algorithms and their hardware-software coding. This lead to search for such primitives of data structures that will significantly simplify the parallel high-performance algorithms which are working on them. Models and methods for testing and simulation of digital systems are proposed, which containing certain advantages of quantum computing in terms of implementation of vector qubit data structures in technology of classical computational processes.

Objective. The goal of the work is development of an innovative technology for qubit-vector synthesis and deductive analysis of tests for their verification based on vector data structures that greatly simplify algorithms that can be embedded as BIST components in digital systems on chips.

Method. The deductive faults simulation is used to obtain analytical expressions focused on transporting fault lists through a functional or logical element based on the xor-operation, which serves as a measure of similarity-difference between a test, a function and faults which is specified in the same way in one of the formats − a table, graph, equation. A binary vector is proposed as the most technologically advanced primitive of data structures for setting logical functionality for the purpose of parallel synthesis and analysis of digital systems. The parallelism of solving combinatorial problems is a physical property of quantum computing, which in classical computing, for parallel simulation and faults diagnostics, is provided by unitary-coded data structures due to excess memory.

Results. 1) A method of analytical synthesis of deductive logic for functional elements on the gate level and register transfer level has been developed. 2) A deductive processor for faults simulation based on transporting input lists or faults vectors to external outputs of digital circuits was proposed. 3) The qubit-vector form of logic setting and methods of qubit synthesis of deductive equations for faults simulation were described. 4) A qubit-vector method for the tests’ synthesis which is using derivatives calculated by vector coverage of logic has been developed. 5) Models and methods verification is performed on test examples in the software implementation of structures and algorithms.

Conclusions. The scientific novelty lies in the new paradigm of the technology for the synthesis of deductive RTL logic based on metric test equation, which forms the. A vector form for structures description is introduced, which makes it possible to apply wellknown technologies for the synthesis and analysis of logical circuits tests to effectively solve the problems of graph structures testing and state machine models of digital devices. The practical significance is reflected in the examples of analytical synthesis of deductive logic for functional elements on gate level and register transfer level. A deductive processor for faults simulation which is focused on implementation as a BIST tool, which is used in online testing, simulation and fault diagnosis for digital systems on chips is proposed. A qubit-vector form of the digital systems description is proposed, which surpasses the existing methods of computing devices development in terms of the metric: manufacturability, compactness, speed and quality. A software application has been developed that implements the main testing, simulation and diagnostics services which are used in the educational process to study the advantages of qubit-vector data structures and algorithms. The computational complexity of synthesis processes and deductive formulas for logic and their usage in fault simulation are given.

Author Biographies

A. Hahanova, Kharkov National University of Radio Electronics, Ukraine.

PhD, Associated Professor of Design Automation Department.

V. Hahanov, Kharkov National University of Radio Electronics, Ukraine.

D. Sc., Professor of Design Automation Department.

S. Chumachenko, Kharkov National University of Radio Electronics, Ukraine.

D. Sc., Professor of Design Automation Department.

E. Litvinova, Kharkov National University of Radio Electronics, Ukraine.

D. Sc., Professor of Design Automation Department.

D. Rakhlis, Kharkov National University of Radio Electronics, Ukraine.

PhD, Associated Professor of Design Automation Department.

References

Abramovici M., Breuer M. A., Friedman A. D. Digital System Testing and Testable Design. New York, Computer Society Press, 1990, 652 р.

Chang H. Y., Chappell S. G., Elmendorf C. H. et al. Comparison of Parallel and Deductive Fault Simulation Methods, IEEE Transactions on Computers. November 1974, Vol. C23, № 11, pp. 1132–1138. DOI: 10.1109/T-C.1974.223820.

Takahashi N., Ishiura N., Yajima S. Fault simulation for multiple faults by Boolean function manipulation, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, April 1994, Vol. 13, № 4, pp. 531−535. DOI: 10.1109/43.275363.

Menon P. R., Chappell S. G. Deductive Fault Simulation with Functional Blocks, IEEE Transactions on Computers, Aug. 1978, Vol. C-27, № 8, pp. 689−695. DOI: 10.1109/TC.1978.1675175.

Fujiwara H. Logic Testing and Design for Testability, Cambridge: MIT Press, 1985, pp. 84−108.

Liu T., Yu T., Wang S. et al. An Efficient Degraded Deductive Fault Simulator for Small-Delay Defects, IEEE Access, 2020, Vol. 8, pp. 204855–204862. DOI: 10.1109/ACCESS.2020.3037292.

Pomeranz I., Reddy S. M. Forward-looking fault simulation for improved static compaction, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Oct. 2001, Vol. 20, № 10, pp. 1262−126. DOI: 10.1109/43.952743.

Kinoshita Y., Hosokawa T., Fujiwara H. A Test Generation Method Based on k-Cycle Testing for Finite State Machines, IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS), Rhodes, Greece, 1–3 July 2019: proceedings. Rhodes, IEEE, 2019, pp. 232−235. DOI: 10.1109/IOLTS.2019.8854426.

Harutyunyan G., Tshagharyan G., Vardanian V. et al. Fault modeling and test algorithm creation strategy for FinFETbased memories, IEEE 32nd VLSI Test Symposium (VTS), Napa, CA, 13–17 April 2014: proceedings. Napa, IEEE, 2014, pp. 1−6. DOI: 10.1109/VTS.2014.6818747.

Zolfy M., Mirkhani S., Navabi Z. Adaptation of an eventdriven simulation environment to sequentially propagated concurrent fault simulation, Design, Automation and Test in Europe. Conference and Exhibition, Munich, Germany, 13– 16 March 2001: proceedings. Munich, IEEE, 2001, pp. 1530–1591. DOI: 10.1109/DATE.2001.915173.

Zainalabedin N. Digital System Test and Testable Design using HDL models and architectures. New York, Springer, 2011, 391 p.

Pomeranz I., Reddy S. M. Unspecified Transition Faults: A Transition Fault Model for At-Speed Fault Simulation and Test Generation, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Jan. 2008. Vol. 27, № 1, pp. 137−146. DOI: 10.1109/TCAD.2007.907000.

Ubar R., Devadze S., J. Raik et al. Fast Fault Simulation for Extended Class of Faults in Scan Path Circuits, Fifth IEEE International Symposium on Electronic Design, Test & Applications, Ho Chi Minh City, Vietnam, 13–15 Jan. 2010: proceedings. Vietnam, IEEE, 2010, pp. 14–19. DOI: 10.1109/DELTA.2010.32.

Reinsalu U., Raik J., Ubar R. et al. Fast RTL Fault Simulation Using Decision Diagrams and Bitwise Set Operations, IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, Vancouver, BC, 3–5 Oct. 2011: proceedings. Vancouver, IEEE, 2001, pp. 164−170. DOI: 10.1109/DFT.2011.42.

Dobai R., Gramatova E. Deductive Fault Simulation for Asynchronous Sequential Circuits, 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, Patras, 27–29 Aug. 2009: proceedings. Patras, IEEE, 2009, pp. 459−464. DOI: 10.1109/DSD.2009.129.

Temma S., Sugii M., Matsuno H. The Document Similarity Index based on the Jaccard Distance for Mail Filtering, 34th International Technical Conference on Circuits/Systems, Computers and Communications (ITC-CSCC), JeJu, Korea (South), 23–26 June 2019: proceedings. JeJu, IEEE, 2019, pp. 1−4. DOI: 10.1109/ITC-CSCC.2019.8793419.

Jaccard P. Distribution de la flore alpine dans le Bassin des Dranses et dans quelques regions voisines, Bulletin de la Societe Vaudoise des Sciences. Naturelles, 1901, Vol. 37, № 140. − P. 241−272. DOI: 10.5169/seals-266440.

Hahanov V. Cyber Physical Computing for IoT-driven Services. New York, Springer, 2018, 279 p.

Hahanov I. , Chumachenko S. , Iemelianov I. et al. Deductive qubit fault simulation, 14th International Conference the Experience of Designing and Application of CAD Systems in Microelectronics (CADSM), Lviv, 21–25 Feb. 2017: proceedings. Lviv, IEEE, 2017, pp. 256−259. DOI: 10.1109/CADSM.2017.7916129.

Hahanov V., Iemelianov I., Chumachenko S. et al. Quantum sequencer for the minimal test synthesis of black-box functionality, IEEE East-West Design & Test Symposium (EWDTS), Novi Sad, 29 Sept.–2 Oct. 2017: proceedings. Novi Sad, IEEE, 2017, pp. 1−6. DOI: 10.1109/EWDTS.2017.8110148.

Hahanov V., Yemelyanov I. , Obrizan V. at al. “Quantum” diagnosis and simulation of SoC, XI International Conference on Perspective Technologies and Methods in MEMS Design (MEMSTECH), Lviv, 2–6 Sept. 2015: proceedings. Lviv, IEEE, 2015, pp. 58−60.

Hahanov V., Liubarskyi M., Gharibi W. et al. Test Synthesis for Logical X-functions, IEEE East-West Design & Test Symposium (EWDTS), Kazan, 14–17 Sept. 2018: proceedings. Kazan, IEEE, 2018, pp. 1−9. DOI: 10.1109/EWDTS.2018.8524863.

Gharibi W., Devadze D., Hahanov V. et al Qubit Test Synthesis Processor for SoC Logic, IEEE East-West Design & Test Symposium (EWDTS), Batumi, Georgia, 13–16 Sept. 2019: proceedings. Batumi, IEEE, 2019, pp. 1−5. DOI: 10.1109/EWDTS.2019.8884476.

Hahanov V. I., Hyduke S. M., Gharibi W. et al. Quantum Models and Method for Analysis and Testing Computing Systems, 11th International Conference on Information Technology: New Generations, Las Vegas, NV, 7–9 April 2014: proceedings. Las Vegas, IEEE, 2014, pp. 430−434. DOI: 10.1109/ITNG.2014.125.

Karavay M., Hahanov V., Litvinova E. et al. Qubit Fault Detection in SoC Logic, IEEE East-West Design & Test Symposium (EWDTS), Batumi, Georgia, 13–16 Sept. 2019: proceedings. Batumi, IEEE, 2019, pp. 1−7. DOI: 10.1109/EWDTS.2019.8884475.

Hahanov V., Hacimahmud A. V., Litvinova E. et al. Quantum Deductive Simulation for Logic Functions, IEEE EastWest Design & Test Symposium (EWDTS), Kazan, 14–17 Sept. 2018: proceedings. Kazan, IEEE, 2018, pp. 1−7. DOI: 10.1109/EWDTS.2018.8524619.

Hahanov V., Karavay M., Sergienko V. et al. SimilarityDifference Analysis and Matrix Fault Diagnosis of SoCcomponents, IEEE East-West Design & Test Symposium (EWDTS), Varna, Bulgaria, 4–7 Sept. 2020: proceedings. Varna, IEEE, 2020, pp. 1−5. DOI: 10.1109/EWDTS50664.2020.9224740.

Hahanov V., Gharibi W., Litvinova E. et al. Qubit-driven Fault Simulation, IEEE Latin American Test Symposium (LATS), Santiago, Chile, 11–13 March 2019: proceedings. Santiago, IEEE, 2019, pp. 1−7. DOI: 10.1109/LATW.2019.8704583.

Hahanov V., Amer T. B., Litvinova E. et al. Qubit test synthesis of the functionality, 14th International Conference The Experience of Designing and Application of CAD Systems in Microelectronics (CADSM), Lviv, 21–25 Feb. 2017: proceedings. Lviv, IEEE, 2017, pp. 251−255. DOI: 10.1109/CADSM.2017.7916128.

Hahanov I., Chumachenko S., Iemelianov I. et al. Deductive qubit fault simulation, 14th International Conference the Experience of Designing and Application of CAD Systems in Microelectronics (CADSM), Lviv, 21–25 Feb. 2017: proceedings. Lviv, IEEE, 2017, pp. 256−259. DOI: 10.1109/CADSM.2017.7916129.

Published

2021-10-06

How to Cite

Hahanova, A., Hahanov, V., Chumachenko, S., Litvinova, E., & Rakhlis, D. (2021). VECTOR-DRIVEN LOGIC AND STRUCTURE FOR TESTING AND DEDUCTIVE FAULT SIMULATION . Radio Electronics, Computer Science, Control, (3), 69–85. https://doi.org/10.15588/1607-3274-2021-3-7

Issue

Section

Mathematical and computer modelling