VECTOR-LOGICAL FAULT SIMULATION

Authors

  • V. Hahanov Kharkiv National University of Radio Electronics, Ukraine, Ukraine
  • S. Chumachenko Kharkiv National University of Radio Electronics, Ukraine, Ukraine
  • Y. Litvinova Kharkiv National University of Radio Electronics, Ukraine, Ukraine
  • I. Hahanova Kharkiv National University of Radio Electronics, Ukraine, Ukraine
  • A. Khakhanova Kharkiv National University of Radio Electronics, Ukraine, Ukraine
  • A. Shkil Kharkiv National University of Radio Electronics, Ukraine, Ukraine
  • D. Rakhlis Kharkiv National University of Radio Electronics, Ukraine, Ukraine
  • І. Hahanov Kharkiv National University of Radio Electronics, Ukraine, Ukraine
  • O. Shevchenko Kharkiv National University of Radio Electronics, Ukraine, Ukraine

DOI:

https://doi.org/10.15588/1607-3274-2023-2-5

Keywords:

vector computing, vector form of logic, matrix of deductive vectors, vector method of deductive matrix synthesis, read-write transaction, vector model of faults, vector-logical deductive faults simulation

Abstract

Context. The main idea is the creation of vector-logical in-memory computing (VLC), which uses only read-write transactions on the address memory for faults-as-addresses simulation. There is no traditional logic. VLC is free from processor commands and ALU for computing organization and is therefore focused on implementation in SoC and FPGA. A vector-logical method of deductive matrix synthesis for the transportation of input faults, which has a quadratic computational complexity, is proposed. An inmemory simulator-automata for vector-deductive faults-as-addresses simulation, which based on read-write transactions for implementation in SoC is proposed.

Objective. Development of a vector deductive method of fault simulation based on primitive read-write transactions for the analysis of logic circuits.

Method. An input test set and a logical functionality vector are used. The proposed method is a development of the deductive vectors’ synthesis algorithm based on the truth table. The deductive matrix is intended for the synthesis and verification of tests using parallel simulation of faults-as-addresses combinations, based on read-write transactions over bits of deductive vectors in memory.

Results. A vector method of the deductive matrices synthesis for the transportation of input faults vectors to the output of the element, was proposed. Data structures have been developed for parallel faults simulation of digital circuits based on a primitive readwrite transaction in matrix memory, where combinations of faults serve as address-columns. A sequencer of five blocks, that constitute a vector-logic computing, connected with deductive faults simulation based on read-write transactions, is proposed. Verification of models and methods on test examples has been performed.

Conclusions. The scientific novelty consists in the development of the following innovative solutions: 1) a vector-logic method of synthesis of the deductive vectors matrix for parallel simulation of combinations of input faults-as-addresses, is proposed for the first time; 2) an automata for vector-deductive faults-as-addresses simulation, on the basis of read-write transactions, which is oriented for implementation in FPGA LUT, embedded online simulator SoC, as a core for faults simulation of RTL-level digital systems, was proposed for the first time; 3) the demonstration of the technological advantages of the vector-logic synthesis of deductive matrices is performed on numerous examples of traditional and RTL-logic, which accentuate the manufacturability of vectors in comparison with analytical deductive formulas during simulators construction; 4) a matrix of deductive vectors, as a set of vectorcolumns of Boolean derivatives is used to construct minimal tests for logical elements; 5) the recursive formula for the synthesis of the permutation of coordinates matrix in the logical activity vector makes it possible to significantly simplify the obtaining of the deductive matrix for faults-as-addresses simulation. The practical significance lies in the fact that the in-memory simulator will allow to obtain the speed of faults simulation of real digital blocks for SoC at the level of hundreds of nanoseconds. Complexity estimates of the corresponding algorithms are given.

Author Biographies

V. Hahanov, Kharkiv National University of Radio Electronics, Ukraine

Doctor of science, Professor of Design Automation Department

S. Chumachenko, Kharkiv National University of Radio Electronics, Ukraine

Doctor of science, Professor Head of Design Automation Department

Y. Litvinova, Kharkiv National University of Radio Electronics, Ukraine

Doctor of science, Professor of Design Automation Department

I. Hahanova, Kharkiv National University of Radio Electronics, Ukraine

Doctor of science, Professor of Design Automation Department

A. Khakhanova, Kharkiv National University of Radio Electronics, Ukraine

PhD, Associated Professor of Design Automation Department

A. Shkil, Kharkiv National University of Radio Electronics, Ukraine

PhD, Associated Professor of Design Automation Department

D. Rakhlis, Kharkiv National University of Radio Electronics, Ukraine

PhD, Associated professor of Design Automation Department

І. Hahanov, Kharkiv National University of Radio Electronics, Ukraine

Post-graduate student

O. Shevchenko, Kharkiv National University of Radio Electronics, Ukraine

PhD, Assistant

References

Gharibi W., Hahanova A., Hahanov V. et al. Vectordeductive memory-based transactions for fault-as-address simulation, Electronic Modeling, 2023, V. 45, №1, pp. 3–26. DOI: 10.15407/emodel.45.01.003.

Shannon Claude E. Von Neumann’s contributions to automata theory, Bulletin American Mathematical Society, 1958, V.64, №3, pp. 123–129. DOI: 10.1090/S0002-99041958-10214-1.

Davis M. Emil Post’s contributions to computer science, Fourth annual symposium on logic in computer science. Pacific Grove, CA, USA, 5–8 June 1989, pp. 134–136. DOI: 10.1109/LICS.1989.39167.

What’s new in the 2022 Gartner hype cycle for emerging technologies [Electronic resource]. Access mode: https://www.gartner.com/en/articles/what-s-new-in-the-2022gartner-hype-cycle-for-emerging-technologies. Access data: 02.08.2023.

Wang P. et al. RC-NVM: Enabling Symmetric Row and Column Memory Accesses for In-memory Databases, IEEE International Symposium on High Performance Computer Architecture (HPCA). Vienna, Austria, 24–28 February 2018, pp. 518–530. DOI: 10.1109/HPCA.2018. 00051.

Ahn B., Jang J., Na H. et al.] AI Accelerator Embedded Computational Storage for Large-Scale DNN Models, IEEE 4th International Conference on Artificial Intelligence Circuits and Systems (AICAS). Incheon, Korea, Republic, 13–15 June 2022, pp. 483–486. DOI: 10.1109/AICAS54282.2022. 9869991.

Moreau M. et al. Reliable ReRAM-based logic operations for computing in memory, IFIP/IEEE International Conference on Very Large-Scale Integration (VLSI-SoC). Verona, Italy, 8–10 October 2018, pp. 192–195. DOI: 10.1109/VLSI-SoC.2018.8644780.

Kang W., Zhang H., Zhao W. Spintronic memories: from memory to computing-in-memory, IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH). Qingdao, China, 17–19 July 2019, pp. 1–2. DOI:10.1109/NANOARCH47378. 2019.181298.

Gauchi R. et al. Memory sizing of a scalable SRAM inmemory computing tile based architecture, IFIP/IEEE 27th International Conference on Very Large-Scale Integration (VLSI-SoC). Cuzco, Peru, 6-9 October 2019, pp. 166–171. DOI: 10.1109/VLSI-SoC.2019.8920373.

Pomeranz I., Reddy S. M. Forward-looking fault simulation for improved static compaction, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2001, Vol. 20, No. 10, pp. 1262–1265. DOI: 10.1109/43.952743.

Hahanov V., Chumachenko S., Iemelianov I. et al. Deductive qubit fault simulation, 14th International Conference: The Experience of Designing and Application of CAD Systems in Microelectronics (CADSM). Lviv, Ukraine, 21–25 February 2017, pp. 256–259. DOI: 10.1109/CADSM.2017.7916129.

Hahanov V., Gharibi W., Litvinova E. et al. Qubit-driven Fault Simulation, IEEE Latin American Test Symposium (LATS). Santiago, Chile, 11–13 March 2019, pp. 1–7. DOI: 10.1109/LATW.2019.8704583.

Gharibi W., Devadze D., Hahanov V. et al. Qubit Test Synthesis Processor for SoC Logic, IEEE East-West Design & Test Symposium (EWDTS)., Batumi, Georgia, 13–16 September 2019, pp. 1–5. DOI: 10.1109/EWDTS.2019. 8884476.

Hahanov V. et al. Vector-qubit models for SOC logicstructure testing and fault simulation, 16th International Conference on the Experience of Designing and Application of CAD Systems (CADSM). Lviv, Ukraine, 22–26 February 2021, pp. 24–28. DOI: 10.1109/CADSM52681.2021. 9385266.

Hahanov V. I., Hyduke S. M., Gharibi W. et al. Quantum models and method for analysis and testing computing systems, 11th International Conference on Information Technology: New Generations. Las Vegas, 7–9 April 2014, pp. 430–434. DOI: 10.1109/ITNG.2014.125.

Karavay M., Hahanov V. , Litvinova E. et al. Qubit fault detection in SoC logic, IEEE East-West Design & Test Symposium (EWDTS). Batumi, Georgia, 13–16 September 2019, pp. 1–7. DOI: 10.1109/EWDTS.2019. 8884475.

Hahanov V., Gharibi W., Litvinova E. et al. Qubit-driven fault simulation, IEEE Latin American Test Symposium (LATS). Santiago, Chile, 11–13 March 2019, pp. 1–7. DOI: 10.1109/LATW.2019.8704583.

Karavay M., Hahanov V. , Litvinova E. et al. Qubit fault detection in SOC logic, IEEE East-West Design & Test Symposium (EWDTS). Batumi, Georgia, 13–16 September 2019, pp. 1–7. DOI: 10.1109/EWDTS.2019. 8884475.

Hahanov V. Cyber physical computing for iot-driven services. New York, Springer, 2018, 279 p. DOI: 10.1007/978-3-319-54825-8

Reinsalu U., Raik J., Ubar R. et al. Fast RTL fault simulation using decision diagrams and bitwise set operations, IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems. Vancouver, Canada, 3–5 October 2011, pp. 164–170. DOI: 10.1109/DFT.2011.42.

Pomeranz I, Reddy S. M. A synthesis procedure for flexible logic functions, Design, Automation and Test in Europe. Paris, France, 23–26 Februry 1998, pp. 973–974. DOI: 10.1109/DATE.1998. 655995.

Armstrong D. B. A deductive method for simulating faults in logic circuits, IEEE Transactions on Computers, 1972, Vol. C-21, No. 5, pp. 464–471. DOI: 10.1109/TC.1972.223542.

Vinod N. et al. Performance Evaluation of LUTs in FPGA in Different Circuit Topologies, International Conference on Communication and Signal Processing (ICCSP), 28–30 July 2020, pp. 1511–1515. DOI: 10.1109/ICCSP48568.2020.9182074.

Published

2023-06-29

How to Cite

Hahanov, V., Chumachenko, S., Litvinova, Y., Hahanova, I., Khakhanova, A., Shkil, A. ., Rakhlis, D., Hahanov І., & Shevchenko, O. (2023). VECTOR-LOGICAL FAULT SIMULATION . Radio Electronics, Computer Science, Control, (2), 37. https://doi.org/10.15588/1607-3274-2023-2-5

Issue

Section

Mathematical and computer modelling