[1]
Mіroshnyk M.A., Shkil, A.S., Kulak, E.N., Rakhlis, D.Y., Mіroshnyk A.M. and Malahov, N.V. 2020. DESIGN TIMED FSM WITH VHDL MOORE PATTERN. Radio Electronics, Computer Science, Control. 2 (Sep. 2020), 137–148. DOI:https://doi.org/10.15588/1607-3274-2020-2-14.